ghdl Command Examples

Open-source simulator for the VHDL language. More information: http://ghdl.free.fr.

ghdl -a {{filename.vhdl}}

ghdl -e {{design}}

ghdl -r {{design}}

ghdl -r {{design}} --wave={{output.ghw}}

ghdl -s {{filename.vhdl}}

ghdl --help